APPLICATION OF FUNCTIONAL DELAY TESTS FOR TESTING OF TRANSITION FAULTS AND VICE VERSA

Authors

  • Eduardas Bareiša Kaunas University of Technology
  • Vacius Jusas Kaunas University of Technology
  • Kęstutis Motiejūnas Kaunas University of Technology
  • Rimantas Šeinauskas Kaunas University of Technology

Abstract

Rapid advances of semiconductor technology lead to higher circuit integration as well as higher ope-rating frequencies. The statistical variations of the parameters during the manufacturing process as well as physical de-fects in integrated circuits can sometimes degrade circuit performance without altering its logic functionality. These faults are called delay faults. In this paper we consider the quality of the tests generated for two types of delay faults, namely, functional delay and transition faults. We compared the test quality of functional delay tests in regard to transi-tion faults and vice versa. We have performed various comprehensive experiments with combinational benchmark circuits. The experiments exhibit that the test sets, which are generated according to the functional delay fault model, obtain high fault coverages of transition faults. However, the functional delay fault coverages of the test sets targeted for the transition faults are low. It is very likely that the test vectors based on the functional delay fault model can cover other kinds of the faults. Another advantage of test set generated at the functional level is that it is independent of and effective for any implementation and, therefore, can be generated at early stages of the design process.

Downloads

Published

2005-06-16

Issue

Section

Articles